Компания Aldec сообщила о выходе новой версии пакета Active-HDL 8.1

Компания Aldec сообщила о выходе новой версии пакета Active-HDL 8.1 - первого многоязычного HDL-симулятора.

Компания Aldec ведущий разработчик HDL-средств проектирования и моделирования устройств ASIC и FPGA, сообщила о выходе новой версии пакета Active-HDL 8.1. Это первый вполне доступный по цене продукт на рынке, поддерживающий утверждения и функциональное покрытие для SVA, PSL и OVA. Другими усовершенствованием являются значительное увеличение скорости моделирования проектов на языке Verilog и поддержка языковых конструкций VHDL 2008. Таким образом, пакет Active-HDL стал первым многоязычным HDL-симулятором, предлагающим управление проектом, его графическое описание и поддержку всех ведущих производителей FPGA микросхем в одной среде проектирования.

Добавить комментарий

Ваш адрес email не будет опубликован. Обязательные поля помечены *